色偷偷偷久久伊人大杳蕉,色爽交视频免费观看,欧美扒开腿做爽爽爽a片,欧美孕交alscan巨交xxx,日日碰狠狠躁久久躁蜜桃

電子工程網(wǎng)

標(biāo)題: 計(jì)數(shù)計(jì)數(shù)模塊設(shè)計(jì)遇到的問(wèn)題 [打印本頁(yè)]

作者: woshaogang123    時(shí)間: 2012-6-13 08:19
標(biāo)題: 計(jì)數(shù)計(jì)數(shù)模塊設(shè)計(jì)遇到的問(wèn)題
我正在用CPLD設(shè)計(jì)一個(gè)計(jì)時(shí)計(jì)數(shù)模塊:按下reset清零,按一下start開(kāi)始計(jì)時(shí),按一下stop停止計(jì)時(shí)把結(jié)果傳給單片機(jī),現(xiàn)在就是控制不了stop,就是仿真時(shí)start為高電平1時(shí)計(jì)數(shù)器工作,為0時(shí)停止計(jì)數(shù),stop控制不了,請(qǐng)問(wèn)應(yīng)該怎樣才能控制呢
作者: asyou    時(shí)間: 2012-6-13 11:05
最好不用電平控制,而用沿控制!檢測(cè)start,stop的沿!
作者: woshaogang123    時(shí)間: 2012-6-13 15:02
但是在一個(gè)進(jìn)程中好像不能有超過(guò)兩個(gè)以上的邊沿檢測(cè)
作者: szaeia    時(shí)間: 2012-6-13 15:37
最好不用電平控制,而用沿控制!檢測(cè)start,stop的沿!
汽車電子
作者: woshaogang123    時(shí)間: 2012-6-14 10:19
程序是
entity count  is
   port(
            clk,start,stop,reset : in std_logic;
             cout ut  std_logic_vector(7 downto 0)
          );
end count;
architecture behav of count
begin
process(clk,start,stop,reset)
  variable c : std_logic_vector(7 downto 0);
begin
if reset='1' then
c:="00000000";
if clk'event and clk='1'  then
if start'event and start='1'  then
c:=c+1
elsif stop'event and stop='1'  then
cout<=c;
end if;
end if;
cout<=c;
end process;
end behav
編譯后出現(xiàn)錯(cuò)誤:can't infer register for "c[0]" at count.vhd,because it does not hold its value outside the clock edge
作者: asyou    時(shí)間: 2012-6-14 16:33
回復(fù)5樓woshaogang123

你不能這樣檢測(cè)沿,用兩個(gè)D觸發(fā)器緩存,當(dāng)前一個(gè)信號(hào)為高,后一個(gè)信號(hào)為低的時(shí)候就是下降沿到來(lái)了!
作者: woshaogang123    時(shí)間: 2012-6-15 09:50
我做的是一個(gè)激光測(cè)距儀,用CPLD作時(shí)間間隔測(cè)量,就是測(cè)量激光從發(fā)射出去到碰到目標(biāo)反射回來(lái)的時(shí)間,start是一PWM信號(hào),作啟動(dòng)計(jì)數(shù)器的開(kāi)門信號(hào).stop就是回波信號(hào),作關(guān)閉計(jì)數(shù)器的關(guān)門信號(hào),我的思路是檢測(cè)start信號(hào)的第一個(gè)上升沿作開(kāi)門信號(hào),stop的第一個(gè)上升沿作關(guān)門信號(hào)
作者: asyou    時(shí)間: 2012-6-15 11:59
回復(fù)7樓woshaogang123

這很簡(jiǎn)單啊!你先搞清楚怎么檢測(cè)信號(hào)的上升沿吧,用一個(gè)參考時(shí)鐘加兩個(gè)D觸發(fā)器就可以了!
作者: woshaogang123    時(shí)間: 2012-6-15 14:14
還是不明白,兩個(gè)D觸發(fā)器怎么用啊,能舉個(gè)例子嗎
作者: joywyc    時(shí)間: 2012-6-17 06:00
主要的問(wèn)題不在于是電平觸發(fā)還是邊沿觸發(fā),問(wèn)題是按鍵的動(dòng)作會(huì)引起觸點(diǎn)一連串的電平跳變。按一次機(jī)械觸點(diǎn),跳變大約持續(xù)十幾毫秒時(shí)間,相當(dāng)于許多個(gè)隨機(jī)的高低電平或上下邊沿的變化。在一次按動(dòng)中,是無(wú)法確定最后一次被取到的是高還是低、上沿還是下沿。特別是對(duì)CPLD等高速邏輯電路,要真正處理掉這一問(wèn)題,就要采取延時(shí)加多點(diǎn)采樣判別技術(shù),來(lái)消除手動(dòng)啟?刂频臏(zhǔn)確性問(wèn)題。
作者: klhchz    時(shí)間: 2012-7-8 09:52
同意10樓意見(jiàn),此類現(xiàn)象在別的場(chǎng)合也經(jīng)常遇到。




歡迎光臨 電子工程網(wǎng) (http://m.54549.cn/) Powered by Discuz! X3.4